Location
Rice Hall 421 Box 400740 Charlottesville, Virginia 22904
Google Scholar

About

Kevin Skadron has been on the faculty at UNIVERSITY OF VIRGINIA since 1999. He received his B.S. in Electrical and Computer Engineering and B.A. in Economics from RICE UNIVERSITY in 1994, and his Ph.D. in Computer Science from PRINCETON UNIVERSITY in 1999. He spent the 2007-08 academic year on sabbatical at NVIDIA Research. He served as department chair from 2012-2021. He also helped found and served as director for the SRC JUMP 1.0  CENTER FOR RESEARCH ON INTELLIGENT STORAGE AND PROCESSING IN MEMORY (CRISP) and the CENTER FOR AUTOMATA PROCESSING (CAP). He is now a member of the SRC JUMP 2.0 CENTER FOR RESEARCH ON PROCESSING IN STORAGE AND MEMORY (PRISM). Skadron is the recipient of the 2023 SRC/SIA UNIVERSITY RESEARCH AWARD, 2011 ACM SIGARCH MAURICE WILKES AWARD and a Fellow of the IEEE and ACM. For the year 2003-04, he was named a UNIVERSITY OF VIRGINIA TEACHING FELLOW. Among other professional activities, he is co-founder and editorial board member of IEEE COMPUTER ARCHITECTURE LETTERS, for which he served as associate editor-in-chief from 2001-2009 and editor-in chief from 2010-2012. He has served on the editorial board of IEEE Micro from 2004-2012 and as co-founder/co-editor (with Kevin Rudd) of its "Prolegomena" column, as secretary-treasurer of ACM's SIGARCH from 2007-2011, as technical program co-chair of PACT 2006, general co-chair for PACT 2002 and MICRO-37, and on numerous technical program committees. He has also given several conference keynotes.

Research Interests

Computer Architecture
Cloud/High-performance Computing
Low Power Design
Automata Processing

Selected Publications

"Abakus: Accelerating k-mer Counting With Storage Technology." ACM Transactions on Architecture and Code Optimization (TACO), to appear. L. WU, M. ZHOU, WEIHONG XU, A. VENKAT, T. ROSING, AND K. SKADRON.
“ACTS: A Near-Memory FPGA Graph Processing Framework.” Proceedings of the IEEE International Symposium on Field-Programmable Gate Arrays (FPGA), Feb. 2023. “ACTS: A Near-Memory FPGA Graph Processing Framework.” Proceedings of the IEEE International Symposium on Field-Programmable Gate Arrays (FPGA), Feb. 2023.
“ACTS: A Near-Memory FPGA Graph Processing Framework.” Proceedings of the IEEE International Symposium on Field-Programmable Gate Arrays (FPGA), Feb. 2023. “ACTS: A Near-Memory FPGA Graph Processing Framework.” Proceedings of the IEEE International Symposium on Field-Programmable Gate Arrays (FPGA), Feb. 2023.
“Pulley: An Algorithm/Hardware Co-optimization for In-memory Sorting.” IEEE Computer Architecture Letters, 21(2):109-112, July-Dec. 2022. “Pulley: An Algorithm/Hardware Co-optimization for In-memory Sorting.” IEEE Computer Architecture Letters, 21(2):109-112, July-Dec. 2022.
“Pulley: An Algorithm/Hardware Co-optimization for In-memory Sorting.” IEEE Computer Architecture Letters, 21(2):109-112, July-Dec. 2022. K. ANGSTADT, T. TRACY, J.-B. JEANNIN, K. SKADRON, AND W. WEIMER.
“Speculative Code Compaction: Eliminating Dead Code via Speculative Microcode Transformations.” In Proceedings of the ACM/IEEE International Symposium on Microarchitecture (MICRO), Oct. 2022. L. MOODY, W. QI,* A. SHARIFI, L. BERRY,* J. RUDEK, J. GAUR, J. PARKHURST, S. SUBRAMONEY, K. SKADRON, A. VENKAT.
“Gearbox: A Case for Supporting Accumulation Dispatching and Hybrid Partitioning in PIM-based Accelerators.” In Proceedings of the ACM/IEEE International Symposium on Computer Architecture (ISCA), June 2022. M. LENJANI, A. AHMED, M. R. STAN, AND K. SKADRON.
“From 2.5D to 3D Chiplet Systems: Investigation of Thermal Implications with HotSpot 7.0.” In Proceedings of the IEEE/ASME Tenth Intersociety Conference on Thermal and Thermomechanical Phenomena in Electronic Systems (ITHERM), May 2022. J.-H. HAN, X. GUO, K. SKADRON, AND M. R. STAN.
“PiMulator: A Fast and Flexible Processing-in-Memory Emulation Platform.” In Proceedings of the ACM/IEEE/EDAA/EDAC Conference on Design, Automation and Test in Europe (DATE), Mar. 2022. S. MOSANU, M. N. SAKIB, T. TRACY III, E. CUKURTAS, A. AHMED, P. IVANOV, S. KHAN, K. SKADRON, M. STAN.
“Supporting Moderate Data Dependency, Position Dependency, and Divergence in PIM-based Accelerators.” IEEE Micro special issue on Processing in Memory, Jan/Feb. 2022, 42(1):108-115. DOI 10.11 M. LENJANI AND K. SKADRON.
“Sunder: Enabling Low-Overhead and Scalable Near Data Pattern Matching Acceleration.” In Proceedings of the IEEE/ACM International Symposium on Microarchitecture (MICRO), Oct. 2021. E. SADREDINI, R. RAHIMI, M. IMANI, AND K. SKADRON.
“Ultra Efficient Acceleration for De Novo Genome Assembly via Near-Memory Computing.” In Proceedings of the ACM/IEEE/IFIP International Conference on Parallel Architectures and Compiler Techniques (PACT), Sept. 2021. (To appear.) M. ZHOU, L. WU, M. LI, N. MOSHIRI, K. SKADRON, AND T. ROSING.
“Sieve: Scalable In-situ DRAM-based Accelerator Designs for Massively Parallel k-mer Matching.” In Proceedings of the ACM/IEEE International Symposium on Computer Architecture (ISCA), June 2021. L. WU, R. SHARIFI, M. LENJANI, K. SKADRON, AND A. VENKAT.
ABS
“BigMap: Future-proofing Fuzzers with Efficient Large Maps.” In Proceedings of the IEEE/IFIP International Conference on Dependable Systems and Networks (DSN), June 2021. A. AHMED, J. HISER, A. NGUYEN-TUONG, J. W. DAVIDSON, AND K. SKADRON.
ABS
“Runtime Verification on FPGAs with LTLf Specifications.” In Proceedings of the Formal Methods in Computer-Aided Design (FMCAD), Sept. 2020 T. TRACY II, L. TABAJARA, M. VARDI, AND K. SKADRON.
ABS
“Grapefruit: An Open-Source, Full-Stack, and Customizable Automata Processing on FPGAs.” In Proceedings of the IEEE International Symposium on Field Customizable Computing Machines (FCCM), May 2020. R. RAHIMI, E. SADREDINI, M. STAN, AND K. SKADRON.
ABS
“FlexAmata: A Universal and Efficient Adaption of Applications to Spatial Automata Processing Accelerators.” In Proceedings of the ACM International Symposium on Architectural Support for Programming Languages and Operating Systems (ASPLOS), Mar. 2020. E. SADREDINI, R. RAHIMI, M. LENJANI, M. STAN, AND K. SKADRON.
ABS
“Fulcrum: a Simplified Control and Access Mechanism toward Flexible and Practical In-Situ Accelerators.” In Proceedings of the IEEE International Symposium on High Performance Computer Architecture (HPCA), Feb. 2020. M. LENJANI, P. GONZALEZ, E. SADREDINI, S. LI, Y. XIE, A. AKEL, S. EILERT, M. R. STAN, AND K. SKADRON.
ABS
“Hopscotch: A Micro-benchmark Suite for Memory Performance Evaluation.” In Proceedings of the International Symposium on Memory Systems (MEMSYS), Sep.-Oct. 2019. A. AHMED, K. SKADRO
ABS
“GraphTinker: A High Performance Data Structure for Dynamic Graph Processing.” In Proceedings of the IEEE International Parallel and Distributed Processing Symposium (IPDPS), May 2019. O. JAIYEOBA AND K. SKADRON.
ABS
“Debugging Support for Pattern-Matching Languages and Accelerators.” In Proceedings of the ACM International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), Apr. 2019. M. CASIAS, K. ANGSTADT, T. TRACY II, K. SKADRON, AND W. WEIMER.
ABS
“ASPEN: A Scalable In-SRAM Architecture for Pushdown Automata.” In Proceedings of the ACM/IEEE International Symposium on Microarchitecture (MICRO), Oct. 2018. K. ANGSTADT, A. SUBRAMANIYAN, E. SADREDINI, R. RAHIMI, W. WEIMER, K. SKADRON, AND R. DAS.
ABS
“A Scalable Solution for Rule-Based Part-of-Speech Tagging on Novel Hardware Accelerators.” In Proceedings of the ACM SIGKDD Conference on Knowledge Discovery and Data Mining (KDD), Aug. 2018. E. SADREDINI, D. GUO, C. BO, R. RAHIMI, K. SKADRON, AND H. WANG.
ABS
“Characterizing and Mitigating Output Reporting Bottlenecks in Spatial-Reconfigurable Automata Processing Architectures.” In Proceedings of the IEEE International Symposium on High Performance Computer Architecture (HPCA), Feb. 2018. J. WADDEN, K. ANGSTADT, AND K. SKADRON.
ABS
“Searching for Potential gRNA Off-Target Sites for CRISPR/Cas9 using Automata Processing across Different Platforms.” In Proceedings of the IEEE International Symposium on High Performance Computer Architecture (HPCA), Feb. 2018. C. BO, V. DANG, E. SADREDINI, K. SKADRON.
ABS
“MNCaRT: An Open-Source, Multi-Architecture Automata-Processing Research and Execution Ecosystem.” IEEE Computer Architecture Letters, Dec. 2017. K. ANGSTADT, J. WADDEN, V. DANG, T. XIE, D. KRAMP, W. WEIMER, M. STAN, AND K. SKADRON.
ABS
“Frequent Subtree Mining on the Automata Processor: Challenges and Opportunities.” In Proceedings of the ACM International Conference on Supercomputing (ICS), June 2017. E. SADREDINI, K. WANG, AND K. SKADRON.
ABS
“Dual-Data Rate Transpose-Memory Architecture Improves the Performance, Power and Area of Signal-Processing Systems.” Journal of Signal Processing Systems, Springer, published online Nov. 2016. DOI 10.1007/s11265-016-1199-1. M. EL-HADEDY, X. GUO, M. MARGALA, M. R. STAN, AND K. SKADRON.
ABS
"Lumos+: Rapid, Pre-RTL Design Space Exploration on Accelerator-Rich Heterogeneous Architectures with Reconfigurable Logic." In Proceedings of the IEEE International Conference on Computer Design (ICCD), Oct. 2016. L. WANG AND K. SKADRON.
ABS
“AutomataZoo: A Modern Automata Processing Benchmark Suite.” In Proceedings of the IEEE International Symposium on Workload Characterization (IISWC), Oct. 2018. J. WADDEN, T. TRACY II, E. SADREDINI, L. WU, C. BO, J. DU, Y. ZHOU, M. WALLACE, J. UDALL, M. STAN, AND K. SKADRON.
ABS
"Sequential Pattern Mining with the Micron Automata Processor." In Proceedings of the ACM International Conference on Computing Frontiers, May 2016. Best paper award! K. WANG, E. SADREDINI, AND K. SKADRON. (BEST PAPER AWARD)
ABS
"RAPID Programming of Pattern-Recognition Processors." In Proceedings of the ACM International Symposium on Architectural Support for Programming Languages and Operating Systems (ASPLOS), Apr. 2016. "RAPID Programming of Pattern-Recognition Processors." In Proceedings of the ACM International Symposium on Architectural Support for Programming Languages and Operating Systems (ASPLOS), Apr. 2016.
ABS
"A 16-bit Reconfigurable Encryption Processor for Pi-Cipher." In Proceedings of the 23rd Reconfigurable Architectures Workshop (RAW), in conjunction with IPDPS, May 2016. Best paper award! "A 16-bit Reconfigurable Encryption Processor for Pi-Cipher." In Proceedings of the 23rd Reconfigurable Architectures Workshop (RAW), in conjunction with IPDPS, May 2016. Best paper award!
ABS
"Transient Voltage Noise in Charge-Recycled Power Delivery Networks for Many-Layer 3D-IC." In Proceedings of the ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED), July 2015. R. ZHANG, K. MAZUMDER, B. H. MEYER, K. WANG, K. SKADRON, AND M. R. STAN.
ABS
"Architecture Implications of Pads as a Scarce Resource." In Proceedings of the ACM/IEEE International Symposium on Computer Architecture, June 2014. R. ZHANG, K. WANG, B. H. MEYER, M. R. STAN, AND K. SKADRON.
ABS
"Dymaxion++: A Directive-based API to Optimize Data Layout and Memory Mapping for Heterogeneous Systems." In Proceedings of the Fourth International Workshop on Accelerators and Hybrid Exascale Systems, in conjunction with IPDPS, May 2014. S. CHE, J. MENG, AND K. SKADRON.
ABS
"Real-World Design and Evaluation of Compiler-Managed GPU Redundant Multithreading." In Proceedings of the ACM/IEEE International Symposium on Computer Architecture, June 2014. J. WADDEN, A. LYASHEVSKY, S. GURUMURTHI, V. SRIDHARAN, AND K. SKADRON.
"Implications of the Power Wall: Dim Cores and Reconfigurable Logic." IEEE Micro special issue on Dark Silicon, 33(5): 40-49, Sept.-Oct. 2013.DOI 10.1109/MM.2013.74 L. WANG AND K. SKADRON.
ABS
"Robust SIMD: Dynamically Adapted SIMD Width and Multi-Threading Depth." In Proceedings of the IEEE International Parallel & Distributed Processing Symposium (IPDPS), May 2012. J. MENG, J. W. SHEAFFER, AND K. SKADRON.
"Federation: Boosting Per-Thread Performance of Throughput-Oriented Manycore Architectures." ACM Transactions on Architecture and Code Optimization (TACO), 7(4):1-38, Dec. 2010, DOI 10.1145/1880043.1880046. M. BOYER, D. TARJAN, K. SKADRON.
"The Sharing Tracker: Using Ideas from Cache Coherence Hardware to Reduce Off-Chip Memory Traffic with Non-Coherent Caches." In Proceedings of theACM/IEEE International Conference for High Performance Computing, Networking, Sto D. TARJAN AND K. SKADRON.
"Accurate, Pre-RTL Temperature-Aware Processor Design Using a Parameterized, Geometric Thermal Model." IEEE Transactions on Computers, 57(9):1277-88, Sept. 2008, DOI 10.1109/TC.2008.64 W. HUANG, K. SANKARANARAYANAN, K. SKADRON, R. J. RIBANDO, AND M. R. STAN.
"Scalable Parallel Programming with CUDA." ACM Queue, 6(2):40-53, Mar.-Apr. 2008. DOI 10.1145/1365490.1365500 J. NICKOLLS, I. BUCK, M. GARLAND, K. SKADRON.

Courses Taught

CS 433: Advanced Computer Architecture FALL 2005; SPRING 2007; SPRING 2009
CS 414/4414: Operating Systems SPRING 2002, 2004, 2005, 2006, FALL 2008, FALL 2010, SPRING 2012, FALL 2022, FALL 2023
CS 6501: Special Topics in Computer Architecture CS 6501: Special Topics in Computer Architecture
CS 6501: Special Topics in Computer Architecture CS 6501: Special Topics in Computer Architecture
CS 6354 (formerly 654): Graduate Computer Architecture FALL 2000, 2001, 2002, 2003, 2004, 2009, AND SPRING 2013, 2014
CS 6501: Special Topics in Computer Architecture Heterogeneous and Scalable Computing FALL 2011
CS 754: Advanced Computer Architecture (Multicore Architectures and Programming Models) FALL 2006
CS 851/8501: Special Topics in Computer Architecture FALL 1999, SPRING 2000, SPRING 2001, SPRING 2002, FALL 2004, MOST RECENTLY SPRING 2010
CS 8535: Advanced Computer Architecture Dark Silicon SPRING 2011

Awards

SRC/SIA University Research Award 2023
ACM SIGARCH Maurice Wilkes Award 2011
Fellow of the IEEE
Fellow of the ACM
OSZAR »